Xilinx UG154 Microscope & Magnifier User Manual


 
30 www.xilinx.com SPI-4.2 v8.5 Getting Started Guide
UG154 March 24, 2008
Chapter 4: Detailed Example Design
R
simulation/functional
The functional directory contains functional simulation scripts provided with the core.
Table 4-8: Functional Directory
Name Description
<project_dir>/<component_name>/simulation/functional
simulate_mti.do
ModelSim macro file that compiles the
functional netlist, loopback HDL, and
demo HDL source. The script also loads
and runs the simulation for 8 μs.
wave_mti.do
ModelSim macro file that opens a wave
window and adds key signals to the wave
viewer. The wave_mti.do file is called by
the simulate_mti.do macro file.
simulate_ncsim.sh
simulate_ncsim.bat
Shell scripts that compile the functional
netlist and loopback HDL source. The
script also launches NCSIM and runs the
simulation for 8 μs.
wave_ncsim.sv
NCSIM macro file that opens a wave
window and adds key signals to the wave
viewer. The wave_ncsim.sv file is called by
the simulate_ncsim.sh or
simulate_ncsim.bat file.
simulate_vcs.sh (verilog only)
Shell script that compiles the functional
netlist and example design. The script also
runs the functional simulation using VCS.
vcs_session.tcl (verilog only)
VCS tcl script that opens a wave window.
This macro is called by the simulate_vcs.sh
script.
vcs_commands.key (verilog only)
VCS command file. This file is called by the
simulate_vcs.sh script.
Back to Top